CFP last date
01 May 2024
Reseach Article

Design of BPSK/QPSK Modulator using Verilog HDL and Matlab

by Mayank Patel, Nirav Desai, Bhavesh Soni, Ashish Purani
Communications on Applied Electronics
Foundation of Computer Science (FCS), NY, USA
Volume 2 - Number 3
Year of Publication: 2015
Authors: Mayank Patel, Nirav Desai, Bhavesh Soni, Ashish Purani
10.5120/cae-1692

Mayank Patel, Nirav Desai, Bhavesh Soni, Ashish Purani . Design of BPSK/QPSK Modulator using Verilog HDL and Matlab. Communications on Applied Electronics. 2, 3 ( June 2015), 29-32. DOI=10.5120/cae-1692

@article{ 10.5120/cae-1692,
author = { Mayank Patel, Nirav Desai, Bhavesh Soni, Ashish Purani },
title = { Design of BPSK/QPSK Modulator using Verilog HDL and Matlab },
journal = { Communications on Applied Electronics },
issue_date = { June 2015 },
volume = { 2 },
number = { 3 },
month = { June },
year = { 2015 },
issn = { 2394-4714 },
pages = { 29-32 },
numpages = {9},
url = { https://www.caeaccess.org/archives/volume2/number3/378-1692/ },
doi = { 10.5120/cae-1692 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2023-09-04T19:40:37.173449+05:30
%A Mayank Patel
%A Nirav Desai
%A Bhavesh Soni
%A Ashish Purani
%T Design of BPSK/QPSK Modulator using Verilog HDL and Matlab
%J Communications on Applied Electronics
%@ 2394-4714
%V 2
%N 3
%P 29-32
%D 2015
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Quadrature Phase Shift Keying (QPSK) is a modulation scheme commonly used in wireless communication system and it's because of its ability to transmit twice the data rate for a given bandwidth. In most cases, the QPSK modulator consumes less power and bandwidth in a modern devices but for a system like satellite and mobile devices where their operations are power limited, this is a problem that needs to be fixed. The objective is to design the QPSK modulator that uses less power for operation and it should be bandwidth efficient. The proposed technique uses data stored inside a memory block to produce a symbol according to the given input data. The QPSK modulation process requires a Direct Digital Synthesizer (DDS) to produce sine and cosine wave which are used as carrier signal with the data signal to produce QPSK/BPSK output signal. The proposed modulator successfully modeled with Verilog Hardware Description Language (HDL), simulated with Xilinx Integrated Software Environment (ISE) version 14. 5 software. QPSK modulation is also performed on MATLAB tool, which gives three types of waveforms. 1) In-phase component, 2) Quadrature phase, and 3) Composite of both. After that the QPSK demodulator has been developed using MATLAB tool in order to verify the functionality of the modulator.

References
  1. Asraf Mohamed Moubark, Mohd Alauddin Mohd Ali, "Simple QPSK Modulator Implemented in Virtex 6 FPGA Board for Satellite Ground Station".
  2. Preksha R. Kolankar, Swati V. Sakhare, "FPGA Implementation of QPSK modulator by using Hardware Co-simulation", e-ISSN: 2278-067X, p-ISSN: 2278-800X, Volume 10, Issue 4 (April 2014).
  3. Prashant D. Thombare, Ameed. M. Shah, "QPSK Modulator on FPGA using 64 Values ROM", ISSN: 0975-9646, Vol. 5 (3), 2014, 3403-3406.
  4. Government of india, Department of space, Space applications centre (ISRO), Ahmedabad, November 24, 2011
  5. Intuitive Guide to Principles of Communications, Copyright 1998, 2002 Charan Langton, www. complextoreal. com
  6. Kavita A. Monpara, Shailendrasinh B. Parmar, "design and implementation of qpsk modulator using digital subcarrier", journal of information, knowledge and research in electronics and communication engineering, ISSN: 0975 – 6779| Nov 12 TO OCT 13 | Volume – 02, ISSUE – 02
  7. Rajesh R. Bhambare, Dr. Rajeshree D. Raut, "A Survey on Digital Modulation Techniques for Software Defined Radio Applications", ISSN: 2250-3501, Vol. 3, No3, June 2013
  8. Mandadkar Mukesh, Lokhande Abhishek, Prof. R. R. Bhambare, "QPSK Modulator and Demodulator Using FPGA for SDR", ISSN : 2248-9622, Vol. 4, Issue 4( Version 1), April 2014, pp. 394-397
  9. Prashant D. Thombare, Ameed M. Shah, "Low Power QPSK Modulator on FPGA", ISSN: 2277 128X, Volume 4, Issue 1, January 2014
Index Terms

Computer Science
Information Sciences

Keywords

Binary Phase Shift Keying Quadrature Phase Shift Keying Direct Digital Synthesizer Bit Error Rate Signal to Noise Ratio